Transport gratuit la punctele de livrare Pick Up peste 299 lei
Packeta 15 lei Easybox 20 lei Cargus 25 lei FAN 25 lei

Verilog - 2001

Limba englezăengleză
Carte Carte broșată
Carte Verilog - 2001 Stuart Sutherland
Codul Libristo: 02717013
Editura Springer-Verlag New York Inc., noiembrie 2012
by Phil Moorby The Verilog Hardware Description Language has had an amazing impact on the mod em ele... Descrierea completă
? points 318 b
634 lei
În depozitul extern în cantități mici Expediem în 12-15 zile

30 de zile pentru retur bunuri


Ar putea de asemenea, să te intereseze


Monstermäßig verknallt - Band 1 Alexandra Klepper / Carte broșată
common.buy 41 lei
Y, A PESAR DE TODO, CREER FRANCESC TORRALBA ROSELLO / Carte broșată
common.buy 85 lei
Scalia's Constitution Michael W. Mcconnell / Carte broșată
common.buy 310 lei
Nitro Circus Legends, Stories, and Epic Stunts: Volume 1 Ripley's Believe It or Not! / Copertă tare
common.buy 130 lei
Health and Welfare in St. Petersburg, 1900-1941 Christopher Williams / Copertă tare
common.buy 990 lei

by Phil Moorby The Verilog Hardware Description Language has had an amazing impact on the mod em electronics industry, considering that the essential composition of the language was developed in a surprisingly short period of time, early in 1984. Since its introduc tion, Verilog has changed very little. Over time, users have requested many improve ments to meet new methodology needs. But, it is a complex and time consuming process to add features to a language without ambiguity, and maintaining consistency. A group of Verilog enthusiasts, the IEEE 1364 Verilog committee, have broken the Verilog feature doldrums. These individuals should be applauded. They invested the time and energy, often their personal time, to understand and resolve an extensive wish-list of language enhancements. They took on the task of choosing a feature set that would stand up to the scrutiny of the standardization process. I would like to per sonally thank this group. They have shown that it is possible to evolve Verilog, rather than having to completely start over with some revolutionary new language. The Verilog 1364-2001 standard provides many of the advanced building blocks that users have requested. The enhancements include key components for verification, abstract design, and other new methodology capabilities. As designers tackle advanced issues such as automated verification, system partitioning, etc., the Verilog standard will rise to meet the continuing challenge of electronics design.

Dăruiește această carte chiar astăzi
Este foarte ușor
1 Adaugă cartea în coș și selectează Livrează ca un cadou 2 Îți vom trimite un voucher în schimb 3 Cartea va ajunge direct la adresa destinatarului

Logare

Conectare la contul de utilizator Încă nu ai un cont Libristo? Crează acum!

 
obligatoriu
obligatoriu

Nu ai un cont? Beneficii cu contul Libristo!

Datorită contului Libristo, vei avea totul sub control.

Creare cont Libristo