Transport gratuit la punctele de livrare Pick Up peste 299 lei
Packeta 15 lei Easybox 20 lei Cargus 25 lei FAN 25 lei

VCS verified data transactions on SoC bus using AMBA AXI-04 protocol

Limba englezăengleză
Carte Carte broșată
Carte VCS verified data transactions on SoC bus using AMBA AXI-04 protocol Shaila S. Math
Codul Libristo: 07006838
Editura LAP Lambert Academic Publishing, noiembrie 2011
AMBA AXI-04 is an IP protocol which supports 16, masters and slaves interfacing. AMBA AXI-04 system... Descrierea completă
? points 124 b
263 lei -4 %
250 lei
La editor doar la comandă Expediem în 3-5 zile

30 de zile pentru retur bunuri


Ar putea de asemenea, să te intereseze


Understanding RAW Photography David Taylor / Carte broșată
common.buy 106 lei
TV Journalist Diane Lindsey Reeves / Carte broșată
common.buy 56 lei
President or King? / Copertă tare
common.buy 1.203 lei
International Law 'Dejo Olowu / Carte broșată
common.buy 231 lei
Handbook of Reflective and Experiential Learning Jennifer A. Moon / Copertă tare
common.buy 1.464 lei
Optimierungsmethoden des Operations Research Ernst-Peter Beisel / Carte broșată
common.buy 410 lei

AMBA AXI-04 is an IP protocol which supports 16, masters and slaves interfacing. AMBA AXI-04 system consists of master, slave and interconnect. The system consists of five channels namely write address channel, write data channel, read address channel, read data channel, and write response channel. The AXI-04 update to AXI-03 includes the following: support for burst lengths up to 256 beats, updated write response requirements, removal of locked transactions and AXI-04 also includes information on the interoperability of components. The work aims to design master, slave and interconnect modules according to AMBA AXI-04 protocol, modelled in Verilog HDL. The simulation results for read and write operation of address and data are shown in VCS tool. The master and slave components have an interface with the test layer which provides necessary stimulus. This test layer is built exclusively to initiate the transaction and provide the meaningful inputs to master and slave. The operating frequency is set to 100MHz. Two test cases are run to perform multiple read and multiple write operations. To perform single read operation the module takes 160ns and for single write operation 565ns.

Informații despre carte

Titlu complet VCS verified data transactions on SoC bus using AMBA AXI-04 protocol
Limba engleză
Legare Carte - Carte broșată
Data publicării 2012
Număr pagini 116
EAN 9783659177903
Codul Libristo 07006838
Greutatea 171
Dimensiuni 150 x 220 x 6
Dăruiește această carte chiar astăzi
Este foarte ușor
1 Adaugă cartea în coș și selectează Livrează ca un cadou 2 Îți vom trimite un voucher în schimb 3 Cartea va ajunge direct la adresa destinatarului

Logare

Conectare la contul de utilizator Încă nu ai un cont Libristo? Crează acum!

 
obligatoriu
obligatoriu

Nu ai un cont? Beneficii cu contul Libristo!

Datorită contului Libristo, vei avea totul sub control.

Creare cont Libristo